industry news
Subscribe Now

Synopsys Takes Hierarchical Timing Signoff Mainstream

MOUNTAIN VIEW, Calif., Dec. 7, 2016 /PRNewswire/ — 

Highlights:

  • Hierarchical timing reuse reduces schedule-risk while using fewer compute resources
  • Automated distribution of full-chip analysis runs on smaller, more readily available resources
  • Dynamic top-down and bottom-up block context information enables more accurate signoff

Synopsys, Inc. (Nasdaq: SNPS) today announced 2nd generation technology that enables semiconductor design teams to adopt a smarter, more efficient hierarchical approach to static timing analysis (STA) for timing closure and signoff across all design sizes and levels of complexity. Built on proven PrimeTime® HyperScale hierarchical STA technology and included in the 2016.12 release of the PrimeTime static timing analysis tool, this new capability automates partitioning and distribution of full-chip analysis across a company’s private compute cloud, reducing costs and time.

“When we brought the first generation PrimeTime HyperScale technology to our early adopters, it revolutionized the way design teams completed timing closure and signoff on the largest and most complex chips,” said Robert Hoogenstryd, senior director of marketing for design analysis and signoff tools at Synopsys. “Our second generation provides additional automation and flexibility, allowing more design teams the opportunity to adopt smarter hierarchical signoff flows while maintaining the gold standard accuracy they expect from PrimeTime.”

Flexible Methodology with Proven Hierarchical Technology

HyperScale has been used for static timing analysis on more than 40 of the largest and most complex designs at more than 15 different companies over the last 5 years. These tapeouts include complex graphics, high-performance computing, low-power mobile, and reliable automotive designs.

The 2nd generation of PrimeTime HyperScale technology allows users to easily migrate from flat design analysis to hierarchical block-level analysis and full-chip distributed timing analysis, using mainstream compute resources available in private computing clouds. The hierarchical methodology supports both top-down and bottom-up flows, with state-of-the-art, timing-accurate context generation. This enables HyperScale block-level model analysis to be re-used throughout the flow, instead of re-analyzing the same blocks over and over at each level. The 5x – 10x performance and memory improvements reduce both compute resource cost and schedule risk, for current and future designs.

Market-leading companies who have deployed HyperScale for use in their signoff and tapeout flows include Broadcom Limited, Juniper Networks, MediaTek, Renesas Electronics Corporation, and Samsung Electronics Company.

Availability and Resources

The HyperScale 2nd generation technology is available now as part of the PrimeTime 2016.12 release. For additional information, visit the Synopsys PrimeTime Technology page or contact your local Synopsys account team.

About Synopsys

Synopsys, Inc. (Nasdaq:SNPS) is the Silicon to Software partner for innovative companies developing the electronic products and software applications we rely on every day. As the world’s 15th largest software company, Synopsys has a long history of being a global leader in electronic design automation (EDA) and semiconductor IP and is also growing its leadership in software quality and security solutions. Whether you’re a system-on-chip (SoC) designer creating advanced semiconductors, or a software developer writing applications that require the highest quality and security, Synopsys has the solutions needed to deliver innovative, high-quality, secure products. Learn more at www.synopsys.com.

Leave a Reply

featured blogs
May 2, 2024
I'm envisioning what one of these pieces would look like on the wall of my office. It would look awesome!...
Apr 30, 2024
Analog IC design engineers need breakthrough technologies & chip design tools to solve modern challenges; learn more from our analog design panel at SNUG 2024.The post Why Analog Design Challenges Need Breakthrough Technologies appeared first on Chip Design....

featured video

Why Wiwynn Energy-Optimized Data Center IT Solutions Use Cadence Optimality Explorer

Sponsored by Cadence Design Systems

In the AI era, as the signal-data rate increases, the signal integrity challenges in server designs also increase. Wiwynn provides hyperscale data centers with innovative cloud IT infrastructure, bringing the best total cost of ownership (TCO), energy, and energy-itemized IT solutions from the cloud to the edge.

Learn more about how Wiwynn is developing a new methodology for PCB designs with Cadence’s Optimality Intelligent System Explorer and Clarity 3D Solver.

featured paper

Altera® FPGAs and SoCs with FPGA AI Suite and OpenVINO™ Toolkit Drive Embedded/Edge AI/Machine Learning Applications

Sponsored by Intel

Describes the emerging use cases of FPGA-based AI inference in edge and custom AI applications, and software and hardware solutions for edge FPGA AI.

Click here to read more

featured chalk talk

The Future of Intelligent Devices is Here
Sponsored by Alif Semiconductor
In this episode of Chalk Talk, Amelia Dalton and Henrik Flodell from Alif Semiconductor explore the what, where, and how of Alif’s Ensemble 32-bit microcontrollers and fusion processors. They examine the autonomous intelligent power management, high on-chip integration and isolated security subsystem aspects of these 32-bit microcontrollers and fusion processors, the role that scalability plays in this processor family, and how you can utilize them for your next embedded design.
Aug 9, 2023
31,361 views