industry news
Subscribe Now

ATopTech’s Physical Implementation Tools Certified to Support Advanced Designs in TSMC’s 16nm FinFET+ Process

SANTA CLARA, CA – September 29, 2014 – ATopTech, a leader in next generation physical design solutions, continued their ongoing collaboration with TSMC by further optimizing ATopTech physical implementation tools to support advanced designs in TSMC 16nm FinFET Plus (16FF+) V0.9 Design Rule Manual and SPICE model. Aprisa™ and ApogeeTM, ATopTech’s place and route solutions, have completed design rule support plus four additional individual tool certification projects to enhance quality of results, including design correctness, routability, timing, power, area and manufacturability for designs in 16FF+. The certification is on-track to be extended to V1.0 and will be concluded by November 2014.

In April, ATopTech announced that Aprisa and Apogee had passed TSMC’s APR tool certification in 16nm FinFET V1.0, where all 16FF design rules and methodologies were validated within the ARM Cortex™ A15 quad-core processor design hardening flow. Now, when customers download the Aprisa/Apogee Technology File for 16FF+ directly from TSMC, allowing them to begin 16FF+ designs immediately, the solution will include complete design rule support plus methodologies for design PPA improvement:

  • Design enablement for 16FF+ DRM 
  • CPODE design solution
  • Low-vdd timing optimization
  • Waveform propagation effect on delay calculation
  • Hold uncertainty constraints support

“Our continuing collaboration with TSMC and mutual customers allows us to deliver the advanced physical implementation solutions that our customers expect from ATopTech,” said Jue-Hsien Chern, CEO of ATopTech. “As always, we are focused on delivering faster total turn-around time and best quality of results for physical design projects.”

“The collaboration between ATopTech and TSMC ensures that joint customers realize the full potential of FinFET technology at 16nm,” said Suk Lee, TSMC Senior Director, Design Infrastructure Marketing Division. “We will continue to work with ATopTech to drive innovation for physical design.”

About Aprisa

Aprisa is a complete place-and-route (P&R) engine, including placement, clock tree synthesis, optimization, global routing and detailed routing. The core of the technology is its hierarchical database. Built upon the hierarchical database are common “analysis engines,” such as RC extraction, DRC engine, and an advanced, extremely fast timing engine to solve the complex timing issues associated with OCV, signal integrity (SI) and multi-corner multi-mode (MCMM) analysis. Aprisa uses state-of-the-art multi-threading and distributed processing technology to further speed up the process. Because of this advanced architecture, Aprisa is able to deliver predictability and consistency throughout the flow, and hence faster total turn-around time (TAT) and best quality of results (QoR) for physical design projects.

About Apogee

Apogee is a full-featured, top-level physical implementation tool that includes prototyping, floorplanning, and chip assembly. The unified hierarchical database enables a much more streamlined hierarchical design flow. Unique in-hierarchy-optimization (iHO) technology helps to close top-level timing during chip assembly through simultaneous optimization at top level and at blocks, reducing the turnaround time for top-level timing closure from weeks to days.

About ATopTech

ATopTech, Inc. is the technology leader in IC physical design. ATopTech’s technology offers the fastest time to design closure focused on advanced technology nodes. The use of state-of-the-art multi-threading and distributed processing technologies speeds up the design process, resulting in unsurpassed project completion times. For more information, see www.atoptech.com

Leave a Reply

featured blogs
Apr 26, 2024
LEGO ® is the world's most famous toy brand. The experience of playing with these toys has endured over the years because of the innumerable possibilities they allow us: from simple textbook models to wherever our imagination might take us. We have always been driven by ...
Apr 26, 2024
Biological-inspired developments result in LEDs that are 55% brighter, but 55% brighter than what?...
Apr 25, 2024
See how the UCIe protocol creates multi-die chips by connecting chiplets from different vendors and nodes, and learn about the role of IP and specifications.The post Want to Mix and Match Dies in a Single Package? UCIe Can Get You There appeared first on Chip Design....

featured video

How MediaTek Optimizes SI Design with Cadence Optimality Explorer and Clarity 3D Solver

Sponsored by Cadence Design Systems

In the era of 5G/6G communication, signal integrity (SI) design considerations are important in high-speed interface design. MediaTek’s design process usually relies on human intuition, but with Cadence’s Optimality Intelligent System Explorer and Clarity 3D Solver, they’ve increased design productivity by 75X. The Optimality Explorer’s AI technology not only improves productivity, but also provides helpful insights and answers.

Learn how MediaTek uses Cadence tools in SI design

featured paper

Designing Robust 5G Power Amplifiers for the Real World

Sponsored by Keysight

Simulating 5G power amplifier (PA) designs at the component and system levels with authentic modulation and high-fidelity behavioral models increases predictability, lowers risk, and shrinks schedules. Simulation software enables multi-technology layout and multi-domain analysis, evaluating the impacts of 5G PA design choices while delivering accurate results in a single virtual workspace. This application note delves into how authentic modulation enhances predictability and performance in 5G millimeter-wave systems.

Download now to revolutionize your design process.

featured chalk talk

Non-Magnetic Interconnects
Sponsored by Mouser Electronics and Samtec
Magnets and magnetic fields can cause big problems in medical, scientific, industrial, space, and quantum computing applications but using a non-magnetic connector can help solve these issues. In this episode of Chalk Talk, Amelia Dalton and John Riley from Samtec discuss the construction of non-magnetic connectors and how you could use non-magnetic connectors in your next design.
May 3, 2023
40,508 views