chalk talk
Subscribe Now

Physically Aware Synthesis Techniques to Lower Power, Improve Timing, Congestion & Correlation

Synthesis is the single most important step in creating a high-quality implementation of our design. But, we can’t expect our synthesis tool to produce the best results without considering the very important effects of routing delay introduced by placement and routing. In this episode of Chalk Talk, Amelia Dalton talks with David Stratman of Cadence about how to apply physically-aware synthesis techniques to improve the overall quality of your design. 

Click the link below to download a free datasheet entitled “Encounter RTL Compiler Advanced Physical Option.”

Click the link below to download a free datasheet entitled “Encounter RTL Compiler – Concurrent Optimization of Timing, Area, and Power Intent.”

Leave a Reply

featured blogs
May 2, 2024
I'm envisioning what one of these pieces would look like on the wall of my office. It would look awesome!...