editor's blog
Subscribe Now

A Hundred Billion Antenna Reconfigurations

Antenna_image.jpgCavendish Kinetics recently made an announcement regarding their ongoing reliability testing for their MEMS-based antenna-tuning technology.

We’ve talked about this tuning concept before (albeit with a different name); the short version is that, with all of the different bands that cell phones need to access, it becomes difficult to optimize the antenna for all of them in the limited space available. So the idea is that you have a capacitor array switched by MEMS elements, and you can then change up your filter with each band to optimize accordingly.

We also looked in more depth at Cavendish Kinetics’ particular approach before, including a description of work they’ve done to limit the range of switching capacitor plates to keep them from over-traveling or slamming too hard against stops.

But, such assurances aside, the question phone makers have remains: how reliable are those MEMS elements? How many times can you switch them before they fail?

Well, according to Cavendish Kinetics, a lot. Like, 100 billion cycles and counting.

And who needs that many cycles? Well, no one, actually, according to them. But, hey, when you’re on a roll, might as well keep it going to put any lingering doubts to rest.

In my mind, I make some comparison to a gyroscope, which has to be in constant motion. Where there is literally a mechanical member moving (as opposed to techniques involving internal resonances), you can add up those movements pretty quickly. Billions aren’t hard to attain. Even if the frequency was a slow 1 kHz, you’d hit a hundred billion cycles in just over 3 years.

But here’s the difference: with the capacitor array, the elements move only when you change configuration. While in use in a particular configuration, the switches are static. If you changed configurations every second, then in three years you’d get roughly (just under) a billion switching events. Which means it would take running the system that aggressively for on the order of 300 years to get to a hundred billion cycles.

I’m thinking the battery would probably wear out first. (And it suggests that their test runs somewhat faster than 1 Hz…)

You can read more about this in their announcement.

Leave a Reply

featured blogs
Mar 28, 2024
'Move fast and break things,' a motto coined by Mark Zuckerberg, captures the ethos of Silicon Valley where creative disruption remakes the world through the invention of new technologies. From social media to autonomous cars, to generative AI, the disruptions have reverberat...
Mar 26, 2024
Learn how GPU acceleration impacts digital chip design implementation, expanding beyond chip simulation to fulfill compute demands of the RTL-to-GDSII process.The post Can GPUs Accelerate Digital Design Implementation? appeared first on Chip Design....
Mar 21, 2024
The awesome thing about these machines is that you are limited only by your imagination, and I've got a GREAT imagination....

featured video

We are Altera. We are for the innovators.

Sponsored by Intel

Today we embark on an exciting journey as we transition to Altera, an Intel Company. In a world of endless opportunities and challenges, we are here to provide the flexibility needed by our ecosystem of customers and partners to pioneer and accelerate innovation. As we leap into the future, we are committed to providing easy-to-design and deploy leadership programmable solutions to innovators to unlock extraordinary possibilities for everyone on the planet.

To learn more about Altera visit: http://intel.com/altera

featured chalk talk

What are the Differences Between an Integrated ADC and a Standalone ADC?
Sponsored by Mouser Electronics and Microchip
Many designs today require some form of analog to digital conversion but how you implement an ADC into your design can make a big difference when it comes to accuracy and precision. In this episode of Chalk Talk, Iman Chalabi from Microchip and Amelia Dalton investigate the benefits of both integrated ADC solutions and standalone ADCs. They discuss the roles that internal switching noise, process technology, and design complexity play when choosing the right ADC solution for your next design.
Apr 17, 2023
38,944 views