industry news
Subscribe Now

ATopTech’s Physical Implementation Tools Certified to Support Advanced Designs in TSMC’s 16nm FinFET+ Process

SANTA CLARA, CA – September 29, 2014 – ATopTech, a leader in next generation physical design solutions, continued their ongoing collaboration with TSMC by further optimizing ATopTech physical implementation tools to support advanced designs in TSMC 16nm FinFET Plus (16FF+) V0.9 Design Rule Manual and SPICE model. Aprisa™ and ApogeeTM, ATopTech’s place and route solutions, have completed design rule support plus four additional individual tool certification projects to enhance quality of results, including design correctness, routability, timing, power, area and manufacturability for designs in 16FF+. The certification is on-track to be extended to V1.0 and will be concluded by November 2014.

In April, ATopTech announced that Aprisa and Apogee had passed TSMC’s APR tool certification in 16nm FinFET V1.0, where all 16FF design rules and methodologies were validated within the ARM Cortex™ A15 quad-core processor design hardening flow. Now, when customers download the Aprisa/Apogee Technology File for 16FF+ directly from TSMC, allowing them to begin 16FF+ designs immediately, the solution will include complete design rule support plus methodologies for design PPA improvement:

  • Design enablement for 16FF+ DRM 
  • CPODE design solution
  • Low-vdd timing optimization
  • Waveform propagation effect on delay calculation
  • Hold uncertainty constraints support

“Our continuing collaboration with TSMC and mutual customers allows us to deliver the advanced physical implementation solutions that our customers expect from ATopTech,” said Jue-Hsien Chern, CEO of ATopTech. “As always, we are focused on delivering faster total turn-around time and best quality of results for physical design projects.”

“The collaboration between ATopTech and TSMC ensures that joint customers realize the full potential of FinFET technology at 16nm,” said Suk Lee, TSMC Senior Director, Design Infrastructure Marketing Division. “We will continue to work with ATopTech to drive innovation for physical design.”

About Aprisa

Aprisa is a complete place-and-route (P&R) engine, including placement, clock tree synthesis, optimization, global routing and detailed routing. The core of the technology is its hierarchical database. Built upon the hierarchical database are common “analysis engines,” such as RC extraction, DRC engine, and an advanced, extremely fast timing engine to solve the complex timing issues associated with OCV, signal integrity (SI) and multi-corner multi-mode (MCMM) analysis. Aprisa uses state-of-the-art multi-threading and distributed processing technology to further speed up the process. Because of this advanced architecture, Aprisa is able to deliver predictability and consistency throughout the flow, and hence faster total turn-around time (TAT) and best quality of results (QoR) for physical design projects.

About Apogee

Apogee is a full-featured, top-level physical implementation tool that includes prototyping, floorplanning, and chip assembly. The unified hierarchical database enables a much more streamlined hierarchical design flow. Unique in-hierarchy-optimization (iHO) technology helps to close top-level timing during chip assembly through simultaneous optimization at top level and at blocks, reducing the turnaround time for top-level timing closure from weeks to days.

About ATopTech

ATopTech, Inc. is the technology leader in IC physical design. ATopTech’s technology offers the fastest time to design closure focused on advanced technology nodes. The use of state-of-the-art multi-threading and distributed processing technologies speeds up the design process, resulting in unsurpassed project completion times. For more information, see www.atoptech.com

Leave a Reply

featured blogs
Mar 28, 2024
'Move fast and break things,' a motto coined by Mark Zuckerberg, captures the ethos of Silicon Valley where creative disruption remakes the world through the invention of new technologies. From social media to autonomous cars, to generative AI, the disruptions have reverberat...
Mar 26, 2024
Learn how GPU acceleration impacts digital chip design implementation, expanding beyond chip simulation to fulfill compute demands of the RTL-to-GDSII process.The post Can GPUs Accelerate Digital Design Implementation? appeared first on Chip Design....
Mar 21, 2024
The awesome thing about these machines is that you are limited only by your imagination, and I've got a GREAT imagination....

featured video

We are Altera. We are for the innovators.

Sponsored by Intel

Today we embark on an exciting journey as we transition to Altera, an Intel Company. In a world of endless opportunities and challenges, we are here to provide the flexibility needed by our ecosystem of customers and partners to pioneer and accelerate innovation. As we leap into the future, we are committed to providing easy-to-design and deploy leadership programmable solutions to innovators to unlock extraordinary possibilities for everyone on the planet.

To learn more about Altera visit: http://intel.com/altera

featured chalk talk

Stepper Motor Basics & Toshiba Motor Control Solutions
Sponsored by Mouser Electronics and Toshiba
Stepper motors offer a variety of benefits that can add value to many different kinds of electronic designs. In this episode of Chalk Talk, Amelia Dalton and Doug Day from Toshiba examine the different types of stepper motors, the solutions to drive these motors, and how the active gain control and ADMD of Toshiba’s motor control solutions can make all the difference in your next design.
Sep 29, 2023
23,384 views